Release 9.1.03i - xst J.33 Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. --> TABLE OF CONTENTS 1) Synthesis Options Summary 2) HDL Compilation 3) Design Hierarchy Analysis 4) HDL Analysis 5) HDL Synthesis 5.1) HDL Synthesis Report 6) Advanced HDL Synthesis 6.1) Advanced HDL Synthesis Report 7) Low Level Synthesis 8) Partition Report 9) Final Report 9.1) Device utilization summary 9.2) Partition Resource Summary 9.3) TIMING REPORT ========================================================================= * Synthesis Options Summary * ========================================================================= ---- Source Parameters Input File Name : "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/toplevel_gen.prj" Input Format : VHDL ---- Target Parameters Output File Name : "toplevel_gen" Output Format : ngc Target Device : xc3s2000-4-fg456 ---- Source Options ROM Extraction : YES RAM Extraction : YES RAM Style : Auto ---- Target Options Global Maximum Fanout : 150 ---- General Options Optimization Goal : SPEED RTL Output : YES Read Cores : YES ========================================================================= WARNING:Xst:29 - Optimization Effort not specified The following parameters have been added: Optimization Effort : 1 ========================================================================= ========================================================================= * HDL Compilation * ========================================================================= Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/nirvi_ZeroDelayer.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CD520_007a.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CC8D8_0079.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C3710_0078.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C1B48_0077.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CE1D0_0076.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C5CC8_0075.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgNiUtilities.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgCommIntConfiguration.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgCommunicationInterface.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/CustomArbForMiteIoLikePortOnResInterface.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0AE9A608_0074.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0AFB6A90_0073.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/TerminalRegister.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgRegister.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/testaviaFPGACompileCopy1result0.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ViSignature.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ViControl.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeBase.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteIrq.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FlipFlopFifo.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoPopBuffer.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DmaMiteWriteRegs.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DmaDisabler.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/CpuDataWr.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoHalfWordWriter.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DualPortRAM.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgGray.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoFlags.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/Fifo.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteWriteInterface.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaOutput.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DmaMiteReadRegs.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/CpuDataRd.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeBool.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoHalfWordReader.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteReadInterface.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaInput.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PulseSyncBase.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PulseSyncBool.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PulseSyncBaseWrapper.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoPortReset.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DoubleSyncBase.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/DoubleSyncBool.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/FifoClearControl.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/TimeoutManager.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaComponentEnableChain.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaComponent.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/Adapter16.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/RegisterAccess32.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/RegisterAccess.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteInterfaceOutputEnables.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteInterface.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/Interface.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ShiftRegComp.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/bushold.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/InvisibleResholder.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgFxp.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/NiLvFxpEnableHandler.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgFxpArithmetic.vhd" in Library work. Package compiled. Package body compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/NiLvFxpCoerce.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/mux_level1_forZ_005b.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_level1_forX_005a.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_09a2dc60.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/mux_level1_forZ_0050.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_level1_forX_004f.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_0ab39bd0.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_003c.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_Fascade_02C45410_0abe80b4.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/TimedLoopController.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/timedLoop_0060.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e954c_09a2e7f8.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_001f.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_Fascade_02C45410_0acd5af4.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or3_0_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or2_0_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or1_1_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or1_0_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_3_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_2_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_1_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_0_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/cpdarith_0031.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/scTunnel_0030.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_test_vi_FPGACompileCopy1_0a278380.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_XDNodeRunTimeDep_lvlib_loadlvalarms46421008_180530708.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e98cc_0aad9e10.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/localResholderWresult_005f.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_test_vi_FPGACompileCopy1.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/toplevel_gen.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. ========================================================================= * Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). ========================================================================= * HDL Analysis * ========================================================================= Analyzing Entity in library (Architecture ). Set user-defined property "OPTIMIZE = off" for signal in unit . Set user-defined property "OPTIMIZE = off" for signal . Set user-defined property "OPTIMIZE = off" for signal . Set user-defined property "OPTIMIZE = off" for signal . Set property "max_fanout = 1000000" for signal . WARNING:Xst:1994 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgNiUtilities.vhd" line 235: Null range in type of signal . WARNING:Xst:1995 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgNiUtilities.vhd" line 237: Use of null array on signal is not supported. WARNING:Xst:2211 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgNiUtilities.vhd" line 1290: Instantiating black box module . WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgNiUtilities.vhd" line 1481: Unconnected output port 'iAutoReset' of component 'rvi_test_vi_FPGACompileCopy1'. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_test_vi_FPGACompileCopy1_0a278380.vhd" line 232: Unconnected output port 't0acd59e0' of component 'XNode_h2c45410_n99e954c_09a2e7f8'. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_1' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_2' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_3' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_4' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_5' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_6' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_7' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_8' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_9' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_10' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_13' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_14' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_15' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_16' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_17' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_18' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_19' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_20' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_21' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_24' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_25' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_26' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_27' of component 'demux_Fascade_02C45410_0ACD5AF4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd" line 67: Unconnected output port 'cluster_element_28' of component 'demux_Fascade_02C45410_0ACD5AF4'. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_1' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_2' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_3' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_4' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_5' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_6' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_7' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_8' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_9' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_10' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_13' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_14' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_15' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_16' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_17' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_18' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_19' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_20' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_21' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_24' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_25' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_26' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_27' of component 'demux_Fascade_02C45410_0ABE80B4'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd" line 66: Unconnected output port 'cluster_element_28' of component 'demux_Fascade_02C45410_0ABE80B4'. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_0ab39bd0.vhd" line 359: Unconnected output port 'cluster_type' of component 'mux_level1_forZ_0050'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_0ab39bd0.vhd" line 456: Unconnected output port 'cOverflow' of component 'NiLvFxpCoerce'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_0ab39bd0.vhd" line 480: Unconnected output port 'cOverflow' of component 'NiLvFxpCoerce'. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:1748 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/PkgFxpArithmetic.vhd" line 609: VHDL Assertion Statement with non constant condition is ignored. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_09a2dc60.vhd" line 359: Unconnected output port 'cluster_type' of component 'mux_level1_forZ_005b'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_09a2dc60.vhd" line 456: Unconnected output port 'cOverflow' of component 'NiLvFxpCoerce'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_09a2dc60.vhd" line 480: Unconnected output port 'cOverflow' of component 'NiLvFxpCoerce'. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd" line 59: Unconnected output port 'iStoredData' of component 'HandshakeBase'. Set property "equivalent_register_removal = no" for unit . WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd" line 59: Unconnected output port 'iStoredData' of component 'HandshakeBase'. Set property "equivalent_register_removal = no" for unit . WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:819 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteIrq.vhd" line 150: The following signals are missing in the process sensitivity list: mIrqInt. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd" line 59: Unconnected output port 'iStoredData' of component 'HandshakeBase'. Set property "equivalent_register_removal = no" for unit . WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:37 - Unknown property "syn_direct_enable". WARNING:Xst:37 - Unknown property "syn_direct_enable". Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/testaviaFPGACompileCopy1result0.vhd" line 199: Unconnected output port 'cFpgaDataEnable' of component 'TerminalRegister'. WARNING:Xst:753 - "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/testaviaFPGACompileCopy1result0.vhd" line 199: Unconnected output port 'cFpgaDataOut' of component 'TerminalRegister'. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). Entity analyzed. Unit generated. ========================================================================= * HDL Synthesis * ========================================================================= Performing bidirectional port resolution... INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. INFO:Xst:2561 - Always blocking tristate driving signal in unit is removed. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/localResholderWresult_005f.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/InvisibleResholder.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:1305 - Output is never assigned. Tied to value 00. Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ViControl.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 10 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ViSignature.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/CustomArbForMiteIoLikePortOnResInterface.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_XDNodeRunTimeDep_lvlib_loadlvalarms46421008_180530708.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/scTunnel_0030.vhd". WARNING:Xst:647 - Input is never used. Found 1-bit register for signal >. Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e954c_09a2e7f8.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/nirvi_ZeroDelayer.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_0_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_1_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_2_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or0_3_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or1_0_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or1_1_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or2_0_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_cparith_or3_0_0031.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_001f.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/TimedLoopController.vhd". WARNING:Xst:1780 - Signal is never used or assigned. Found 1-bit register for signal >. Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_003c.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_level1_forX_004f.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/mux_level1_forZ_0050.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1305 - Output is never assigned. Tied to value 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/NiLvFxpEnableHandler.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_level1_forX_005a.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/mux_level1_forZ_005b.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1305 - Output is never assigned. Tied to value 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/ShiftRegComp.vhd". Found 1-bit register for signal >. Found 4-bit down counter for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 96-bit register for signal . Summary: inferred 1 Counter(s). inferred 100 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeBase.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 1-bit register for signal >. Found 35-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal created at line 226. Found 35-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 85 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeBase.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 1-bit register for signal >. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal created at line 226. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 79 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteInterfaceOutputEnables.vhd". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 9 | | Inputs | 3 | | Outputs | 1 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset<0> (positive) | | Reset type | asynchronous | | Reset State | notreading | | Power Up State | dmaread | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 9 | | Inputs | 2 | | Outputs | 1 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset<0> (positive) | | Reset type | asynchronous | | Reset State | noaccess | | Power Up State | dma | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 Finite State Machine(s). inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaComponent.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaComponent.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteDmaComponent.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/RegisterAccess32.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 7 | | Inputs | 3 | | Outputs | 3 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset<0> (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 7 | | Inputs | 3 | | Outputs | 3 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset<0> (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found 32-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 16-bit up counter for signal . Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 2 Finite State Machine(s). inferred 1 Counter(s). inferred 81 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeBase.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 1-bit register for signal >. Found 5-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal created at line 226. Found 5-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit xor2 for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 25 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/TerminalRegister.vhd". Found 1-bit register for signal >. Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e98cc_0aad9e10.vhd". WARNING:Xst:646 - Signal is assigned but never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/timedLoop_0060.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:1780 - Signal is never used or assigned. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/testaviaFPGACompileCopy1result0.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0AFB6A90_0073.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0AE9A608_0074.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C5CC8_0075.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CE1D0_0076.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C1B48_0077.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0C3710_0078.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CC8D8_0079.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/arb_rw_0B0CD520_007a.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/cpdarith_0031.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_Fascade_02C45410_0acd5af4.vhd". WARNING:Xst:646 - Signal is assigned but never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/demux_Fascade_02C45410_0abe80b4.vhd". WARNING:Xst:646 - Signal is assigned but never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/NiLvFxpCoerce.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/RegisterAccess.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input > is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/HandshakeSLV.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e944c_0ab3a308.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_0ab39bd0.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/prim_CVT_Fascade_02C45410_09a2dc60.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/bushold.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:1305 - Output is never assigned. Tied to value 0. WARNING:Xst:1305 - Output > is never assigned. Tied to value 0. WARNING:Xst:1305 - Output > is never assigned. Tied to value 0. WARNING:Xst:647 - Input is never used. WARNING:Xst:1305 - Output > is never assigned. Tied to value 0. WARNING:Xst:1305 - Output > is never assigned. Tied to value 0. WARNING:Xst:1305 - Output > is never assigned. Tied to value 0. WARNING:Xst:647 - Input > is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:653 - Signal > is used but never assigned. Tied to value 0. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal > is never used or assigned. WARNING:Xst:653 - Signal > is used but never assigned. Tied to value 0. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:653 - Signal > is used but never assigned. Tied to value 0. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. Found 13-bit comparator greatequal for signal created at line 162. Found 13-bit comparator less for signal created at line 162. Summary: inferred 2 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/XNode_h2c45410_n99e28cc_0acd9720.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteIrq.vhd". WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 32-bit register for signal . Summary: inferred 72 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_test_vi_FPGACompileCopy1_0a278380.vhd". WARNING:Xst:647 - Input is never used. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/MiteInterface.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Found 1-bit tristate buffer for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Summary: inferred 2 D-type flip-flop(s). inferred 1 Tristate(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/Interface.vhd". WARNING:Xst:1305 - Output is never assigned. Tied to value 0. WARNING:Xst:1305 - Output is never assigned. Tied to value 0. WARNING:Xst:653 - Signal > is used but never assigned. Tied to value 0. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:653 - Signal .EnableClear> is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal .IrqNum> is used but never assigned. Tied to value 00000. WARNING:Xst:646 - Signal .Status> is assigned but never used. WARNING:Xst:1781 - Signal is used but never assigned. Tied to default value. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 000. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1781 - Signal is used but never assigned. Tied to default value. WARNING:Xst:646 - Signal .EnableOut> is assigned but never used. WARNING:Xst:646 - Signal .EnableOut> is assigned but never used. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 000. WARNING:Xst:653 - Signal > is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal .EnableClear> is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 000. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:653 - Signal .EnableIn> is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal .EnableIn> is used but never assigned. Tied to value 0. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/rvi_test_vi_FPGACompileCopy1.vhd". WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. Unit synthesized. Synthesizing Unit . Related source file is "C:/NIFPGA85/srvrTmp/LOCALH~1/TEST_F~1/toplevel_gen.vhd". WARNING:Xst:2565 - Inout > is never assigned. WARNING:Xst:2565 - Inout > is never assigned. WARNING:Xst:2565 - Inout > is never assigned. WARNING:Xst:647 - Input is never used. WARNING:Xst:2565 - Inout > is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:2565 - Inout is never assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 32-bit tristate buffer for signal . Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 34 Tristate(s). Unit synthesized. WARNING:Xst:524 - All outputs of the instance of the block are unconnected in block . This instance will be removed from the design along with all underlying logic ========================================================================= HDL Synthesis Report Macro Statistics # Counters : 2 16-bit up counter : 1 4-bit down counter : 1 # Registers : 237 1-bit register : 225 13-bit register : 1 32-bit register : 7 35-bit register : 2 5-bit register : 2 # Comparators : 2 13-bit comparator greatequal : 1 13-bit comparator less : 1 # Tristates : 4 1-bit tristate buffer : 3 32-bit tristate buffer : 1 # Xors : 8 1-bit xor2 : 8 ========================================================================= ========================================================================= * Advanced HDL Synthesis * ========================================================================= Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle | 00 reading | 01 done | 11 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle | 00 writing | 01 done | 11 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with speed1 encoding. ---------------------- State | Encoding ---------------------- dma | 00 regular | 10 noaccess | 01 ---------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with sequential encoding. -------------------------- State | Encoding -------------------------- dmaread | 00 stopdmaread | 11 registerread | 10 notreading | 01 -------------------------- Loading device for application Rf_Device from file '3s2000.nph' in environment C:\NIFPGA85\Xilinx. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. ========================================================================= Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 # Counters : 2 16-bit up counter : 1 4-bit down counter : 1 # Registers : 549 Flip-Flops : 549 # Comparators : 2 13-bit comparator greatequal : 1 13-bit comparator less : 1 # Xors : 8 1-bit xor2 : 8 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Mapping all equations... Building and optimizing final netlist ... Final Macro Processing ... Processing Unit : INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. INFO:Xst:741 - HDL ADVISOR - A 2-bit shift register was found for signal and currently occupies 2 logic cells (1 slices). Removing the set/reset logic would take advantage of SRL16 (and derived) primitives and reduce this to 1 logic cells (1 slices). Evaluate if the set/reset can be removed for this simple shift register. The majority of simple pipeline structures do not need to be set/reset operationally. Unit processed. ========================================================================= Final Register Report Macro Statistics # Registers : 293 Flip-Flops : 293 ========================================================================= ========================================================================= * Partition Report * ========================================================================= Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : toplevel_gen.ngr Top Level Output File Name : toplevel_gen Output Format : ngc Optimization Goal : SPEED Keep Hierarchy : no Design Statistics # IOs : 243 Cell Usage : # BELS : 372 # GND : 1 # INV : 8 # LUT1 : 18 # LUT2 : 41 # LUT2_L : 2 # LUT3 : 68 # LUT3_L : 2 # LUT4 : 156 # LUT4_D : 8 # LUT4_L : 22 # MUXCY : 25 # MUXF5 : 5 # VCC : 1 # XORCY : 15 # FlipFlops/Latches : 293 # FD : 1 # FDC : 84 # FDCE : 201 # FDP : 6 # FDRE : 1 # Clock Buffers : 2 # BUFG : 1 # BUFGP : 1 # IO Buffers : 156 # IBUF : 22 # IBUFG : 1 # IOBUF : 32 # OBUF : 98 # OBUFT : 3 ========================================================================= Device utilization summary: --------------------------- Selected Device : 3s2000fg456-4 Number of Slices: 214 out of 20480 1% Number of Slice Flip Flops: 293 out of 40960 0% Number of 4 input LUTs: 325 out of 40960 0% Number of IOs: 243 Number of bonded IOBs: 157 out of 333 47% Number of GCLKs: 2 out of 8 25% --------------------------- Partition Resource Summary: --------------------------- No Partitions were found in this design. --------------------------- ========================================================================= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ MiteClk | BUFGP | 269 | Clk40 | IBUFG+BUFG | 24 | -----------------------------------+------------------------+-------+ Asynchronous Control Signals Information: ---------------------------------------- ---------------------------------------------------------------------+-------------------------------------------------------------------------+-------+ Control Signal | Buffer(FF name) | Load | ---------------------------------------------------------------------+-------------------------------------------------------------------------+-------+ aDiagramReset(aDiagramReset:Q) | NONE(mytop/n_145/MiteInterfacex/IrqComponents[0].MiteIrqx/mMaskReg_14) | 186 | mytop/n_145/not0000_0_not0000(mytop/n_145/not0000_0_not00001_INV_0:O)| NONE(mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mQ_27)| 105 | ---------------------------------------------------------------------+-------------------------------------------------------------------------+-------+ Timing Summary: --------------- Speed Grade: -4 Minimum period: 8.293ns (Maximum Frequency: 120.584MHz) Minimum input arrival time before clock: 13.435ns Maximum output required time after clock: 9.812ns Maximum combinational path delay: No path found Timing Detail: -------------- All values displayed in nanoseconds (ns) ========================================================================= Timing constraint: Default period analysis for Clock 'MiteClk' Clock period: 8.293ns (frequency: 120.584MHz) Total number of paths / destination ports: 6320 / 407 ------------------------------------------------------------------------- Delay: 8.293ns (Levels of Logic = 8) Source: mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mRegPortIn.Address_0 (FF) Destination: mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/iLclStoredData_0 (FF) Source Clock: MiteClk rising Destination Clock: MiteClk rising Data Path: mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mRegPortIn.Address_0 to mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/iLclStoredData_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDC:C->Q 10 0.720 1.473 mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mRegPortIn.Address_0 (mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mRegPortIn.Address_0) LUT2:I0->O 1 0.551 0.000 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_lut<0> (mytop/n_144/N7) MUXCY:S->O 1 0.500 0.000 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<0>_0 (mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<0>1) MUXCY:CI->O 1 0.064 0.000 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<1>_0 (mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<1>1) MUXCY:CI->O 1 0.064 0.000 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<2>_0 (mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<2>1) MUXCY:CI->O 1 0.064 0.000 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<3>_0 (mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<3>1) MUXCY:CI->O 1 0.303 0.827 mytop/n_144/Mcompar_iPush_0_cmp_lt0000_cy<4>_0 (mytop/n_144/iPush_0_cmp_ge0000) LUT4:I3->O 3 0.551 1.102 mytop/n_144/iPush_0_and00001 (mytop/n_144/iPush) LUT2:I1->O 5 0.551 0.921 mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/iLclStoredData_and00001 (mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/iLclStoredData_and0000) FDCE:CE 0.602 mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/iLclStoredData_0 ---------------------------------------- Total 8.293ns (3.970ns logic, 4.323ns route) (47.9% logic, 52.1% route) ========================================================================= Timing constraint: Default period analysis for Clock 'Clk40' Clock period: 5.619ns (frequency: 177.968MHz) Total number of paths / destination ports: 63 / 26 ------------------------------------------------------------------------- Delay: 5.619ns (Levels of Logic = 2) Source: mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/oData_1 (FF) Destination: mytop/n_144/Clk40Crossing.Clk40ToInterface/HBx/iLclStoredData_0 (FF) Source Clock: Clk40 rising Destination Clock: Clk40 rising Data Path: mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/oData_1 to mytop/n_144/Clk40Crossing.Clk40ToInterface/HBx/iLclStoredData_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDCE:C->Q 2 0.720 1.216 mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/oData_1 (mytop/n_144/Clk40Crossing.Clk40FromInterface/HBx/oData_1) LUT4:I0->O 3 0.551 1.102 mytop/n_144/clk40ToRestestaviaFPGACompileCopy1result0HostRead_0_mux00001 (mytop/arb0b0cc8d8_wo<0>) LUT2:I1->O 2 0.551 0.877 mytop/n_144/Clk40Crossing.Clk40ToInterface/HBx/iLclStoredData_and00001 (mytop/n_144/Clk40Crossing.Clk40ToInterface/HBx/iLclStoredData_and0000) FDCE:CE 0.602 mytop/n_144/Clk40Crossing.Clk40ToInterface/HBx/iLclStoredData_0 ---------------------------------------- Total 5.619ns (2.424ns logic, 3.195ns route) (43.1% logic, 56.9% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'MiteClk' Total number of paths / destination ports: 2345 / 158 ------------------------------------------------------------------------- Offset: 13.435ns (Levels of Logic = 9) Source: a<8> (PAD) Destination: mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1 (FF) Destination Clock: MiteClk rising Data Path: a<8> to mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 3 0.821 1.246 a_8_IBUF (a_8_IBUF) LUT4:I0->O 1 0.551 1.140 aDiagramReset_cmp_eq000055 (aDiagramReset_cmp_eq0000_map24) LUT4:I0->O 1 0.551 0.827 aDiagramReset_cmp_eq000068_SW0 (N761) LUT4:I3->O 6 0.551 1.198 aDiagramReset_cmp_eq000068 (aDiagramReset_cmp_eq0000) LUT2:I1->O 21 0.551 1.710 mIoRd_n1 (mIoRd_n) LUT4:I1->O 3 0.551 1.246 mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1-In_SW1 (N593) LUT4:I0->O 1 0.551 0.000 mytop/n_145/MiteInterfacex/mRegPortOutInternal_DataValid_0_or00001_SW0_F (N755) MUXF5:I0->O 1 0.360 0.827 mytop/n_145/MiteInterfacex/mRegPortOutInternal_DataValid_0_or00001_SW0 (N678) LUT4:I3->O 1 0.551 0.000 mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1-In (mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1-In) FDC:D 0.203 mytop/n_145/MiteInterfacex/RegisterAccessx/RegisterAccess32x/mReadState_FFd1 ---------------------------------------- Total 13.435ns (5.241ns logic, 8.194ns route) (39.0% logic, 61.0% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'MiteClk' Total number of paths / destination ports: 67 / 33 ------------------------------------------------------------------------- Offset: 9.812ns (Levels of Logic = 2) Source: mytop/n_145/MiteInterfacex/MiteInterfaceOutputEnables/mDataOE (FF) Destination: d<31> (PAD) Source Clock: MiteClk rising Data Path: mytop/n_145/MiteInterfacex/MiteInterfaceOutputEnables/mDataOE to d<31> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDC:C->Q 1 0.720 0.801 mytop/n_145/MiteInterfacex/MiteInterfaceOutputEnables/mDataOE (mytop/n_145/MiteInterfacex/MiteInterfaceOutputEnables/mDataOE) INV:I->O 32 0.551 1.853 mDataOE_inv1_INV_0 (mDataOE_inv) IOBUF:T->IO 5.887 d_31_IOBUF (d<31>) ---------------------------------------- Total 9.812ns (7.158ns logic, 2.654ns route) (73.0% logic, 27.0% route) ========================================================================= CPU : 114.40 / 114.46 s | Elapsed : 114.00 / 114.00 s --> Total memory usage is 225516 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 750 ( 0 filtered) Number of infos : 90 ( 0 filtered)